教学文库网 - 权威文档分享云平台
您的当前位置:首页 > 范文大全 > 稿件大全 >

共阳极数码管显示

来源:网络收集 时间:2024-05-19
导读: #includeiom16v.h//头文件 #includemacros.h//头文件 #define uchar unsigned char//宏定义 #define uint unsigned int//宏定义 uchar i;//定义变量 uintnum;//定义计数变量 #pragma data:code//数组存放位置 const table[]={ 0xc0,0xf9,0xa4,0xb0,0x99, 0x9

#include<iom16v.h>//头文件

#include<macros.h>//头文件

#define uchar unsigned char//宏定义

#define uint unsigned int//宏定义

uchar i;//定义变量

uintnum;//定义计数变量

#pragma data:code//数组存放位置

const table[]={

0xc0,0xf9,0xa4,0xb0,0x99,

0x92,0x82,0xf8,0x80,0x90,

0x88,0x83,0xc6,0xa1,0x86,

0x8e

};//共阳数码管0--9代码

/*************************************************************/ /*********************延时子程序******************************/ /*************************************************************/ void delay(uint z)//一个带参数,不带返回值的子函数

{

uintx,y;//定义两个变量

for(x=0;x<55;x++)//外循环

for(y=z;y>0;y--);//内循环

}

/*************************************************************/ /*********************显示子程序******************************/ /*************************************************************/ void xian()

{

for(i=0;i<20;i++)//显示20次,使整体看起来不闪烁

{

PORTA=table[num/1000];//将千位数给PA口

PORTC&=~BIT(3);//打开千位位选端

delay(2);//延时一会儿

PORTC|=BIT(3);//关掉千位

PORTA=table[num%1000/100];//将百位数给PA口

PORTC&=~BIT(2);

delay(2);

PORTC|=BIT(2);

PORTA=table[num%100/10];//将十位数给PA口

PORTC&=~BIT(1);

delay(2);

PORTC|=BIT(1);

PORTA=table[num%10];//将个位数给PA口

PORTC&=~BIT(0);

delay(2);

PORTC|=BIT(0);

}

num++;//将它加1,形成动态计数

if(num>5000)//如果它大于5000就将计数器清0

num=0;//清0

}

/*************************************************************/ /*************************主程序******************************/ /*************************************************************/ void main()

{

DDRA=0xff;//设置PA口为输出

DDRC=0xff;//设置PC口为输出

while(1)

{

xian(); //调用显示子函数

}

}

共阳极数码管显示.doc 将本文的Word文档下载到电脑,方便复制、编辑、收藏和打印
本文链接:https://www.jiaowen.net/fanwen/747495.html(转载请注明文章来源)
Copyright © 2020-2021 教文网 版权所有
声明 :本网站尊重并保护知识产权,根据《信息网络传播权保护条例》,如果我们转载的作品侵犯了您的权利,请在一个月内通知我们,我们会及时删除。
客服QQ:78024566 邮箱:78024566@qq.com
苏ICP备19068818号-2
Top
× 游客快捷下载通道(下载后可以自由复制和排版)
VIP包月下载
特价:29 元/月 原价:99元
低至 0.3 元/份 每月下载150
全站内容免费自由复制
VIP包月下载
特价:29 元/月 原价:99元
低至 0.3 元/份 每月下载150
全站内容免费自由复制
注:下载文档有可能出现无法下载或内容有问题,请联系客服协助您处理。
× 常见问题(客服时间:周一到周五 9:30-18:00)